CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 钟

搜索资源列表

  1. digital.clock

    1下载:
  2. 用vhdl语言实现多功能数字钟的设计 这是学习VHDL语言的经典例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5105
    • 提供者:郭海东
  1. SIJTQ6tQ

    0下载:
  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 一、 功能说明 已完成功能 1. 完成秒/分/时的依次显示并正确计数; 2. 秒/分/时各段个位满10正确进位
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:678406
    • 提供者:luoliang
  1. duogongnengdianzishuzizhong

    0下载:
  2. 多功能电子数字钟vhdl 计算机专业课程设计必备
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:43462
    • 提供者:李久鑫
  1. clock

    0下载:
  2. 基于vhdl的数字钟 有闹钟,秒表,时钟,日期等功能 秒表可以开始,暂停,清零, 时钟可以设置时间, 还可以设置日期
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3297
    • 提供者:张廷
  1. szzsybk

    0下载:
  2. vhdl设计的简易数字钟,里面有报告的模板,设计思想,设计图,模块代码,简单易懂。
  3. 所属分类:WEB源码

    • 发布日期:2014-01-17
    • 文件大小:283166
    • 提供者:天涯
  1. szz

    0下载:
  2. 是EDA设计的数字钟的VHDL语言程序,可用Max+Plus2进行编译,仿真并下载到芯片中。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2151
    • 提供者:leo
  1. electroclock

    1下载:
  2. VHDL的数字钟,内含各个模块的源程序,可直接运行
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:83088
    • 提供者:玉峰
  1. clock

    0下载:
  2. 数字钟的VHDL源程序,可实现整点报时、闹钟的功能,还有常有星期的显示,已调试过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1339376
    • 提供者:玉峰
  1. train

    0下载:
  2. 用 VHDL语言实现闹钟功能,可用于数字钟设计的单元电路,显示电路程序。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1396
    • 提供者:李林
  1. CLOCK

    0下载:
  2. 可以调整时间和设置闹钟的数字钟(VHDL)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:906237
    • 提供者:iyoung
  1. clock

    1下载:
  2. 数字钟的程序,功能说明如下所示: 1.完成秒/分/时的依次显示并正确计数; 2.秒/分/时各段个位满10正确进位,秒/分能做到满60向前进位; 3.定时闹钟:实现整点报时,通过语音设备来实现具体的报时; 4.时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整 5.可以选择使用12进制计时或者24进制计时。 使用QuartusII6.0编译仿真通过,语言使用的是VHDL,可以方便的移植到其他的平台上面。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:233023
    • 提供者:余宾客
  1. clockVHDL

    0下载:
  2. 利用VHDL语言设计的数字钟,能进行正常的时、分、秒计时功能,分别由6个数码管显示24h、60min、60s
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:146484
    • 提供者:可爱
  1. topclock

    1下载:
  2. VHDL写的数字钟,功能很全
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:351077
    • 提供者:allen
  1. digitalclock

    1下载:
  2. 这是一个数字钟的VHDL实现.采用八段数码管显示! --可调闹铃,可校时。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5829
    • 提供者:李弋鹏
  1. shuzizhong

    0下载:
  2. 数字钟代码,用VHDL语言设计一个数字钟系统,该系统具有显示时、分、秒的功能,具有较时功能,具有整点报时功能。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1060
    • 提供者:SDFG
  1. VHDLshili

    0下载:
  2. 本压缩包里含有一4位乘法器及PDF书记一本,其中PDF书记钟含有百例各种VHDL实例
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2984501
    • 提供者:Eric
  1. shu_zi_zhong

    0下载:
  2. 这个程序主要介绍了数字钟用VHDL的写法,希望对大家有用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3655
    • 提供者:薛永华
  1. txxclock

    1下载:
  2. VHDL编写的数字钟,在Q-ii下编译,实现闹铃设置与定时闹铃,分时秒显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:308870
    • 提供者:汤向行
  1. shuzizhongvhdl

    0下载:
  2. 原创,基于VHDL的数字钟代码(各功能模块请自己完成)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4851
    • 提供者:q
  1. clock_1

    0下载:
  2. 简易数字钟,使用VHDL语言编辑,简单设计,容易学习用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:472435
    • 提供者:zzy
« 1 2 3 4 5 67 8 9 10 11 12 13 »
搜珍网 www.dssz.com